Libros bestsellers hasta 50% dcto  Ver más

menú

0
  • argentina
  • chile
  • colombia
  • españa
  • méxico
  • perú
  • estados unidos
  • internacional
portada Processor Microarchitecture: An Implementation Perspective (en Inglés)
Formato
Libro Físico
Editorial
Idioma
Inglés
N° páginas
106
Encuadernación
Tapa Blanda
Dimensiones
23.5 x 19.1 x 0.6 cm
Peso
0.22 kg.
ISBN13
9783031006012

Processor Microarchitecture: An Implementation Perspective (en Inglés)

Fernando Latorre (Autor) · Antonio Gonzalez (Autor) · Grigorios Magklis (Autor) · Springer · Tapa Blanda

Processor Microarchitecture: An Implementation Perspective (en Inglés) - Gonzalez, Antonio ; Latorre, Fernando ; Magklis, Grigorios

Libro Físico

$ 35.99

$ 37.99

Ahorras: $ 2.00

5% descuento
  • Estado: Nuevo
Se enviará desde nuestra bodega entre el Lunes 20 de Mayo y el Martes 21 de Mayo.
Lo recibirás en cualquier lugar de Estados Unidos entre 1 y 3 días hábiles luego del envío.

Reseña del libro "Processor Microarchitecture: An Implementation Perspective (en Inglés)"

This lecture presents a study of the microarchitecture of contemporary microprocessors. The focus is on implementation aspects, with discussions on their implications in terms of performance, power, and cost of state-of-the-art designs. The lecture starts with an overview of the different types of microprocessors and a review of the microarchitecture of cache memories. Then, it describes the implementation of the fetch unit, where special emphasis is made on the required support for branch prediction. The next section is devoted to instruction decode with special focus on the particular support to decoding x86 instructions. The next chapter presents the allocation stage and pays special attention to the implementation of register renaming. Afterward, the issue stage is studied. Here, the logic to implement out-of-order issue for both memory and non-memory instructions is thoroughly described. The following chapter focuses on the instruction execution and describes the different functional units that can be found in contemporary microprocessors, as well as the implementation of the bypass network, which has an important impact on the performance. Finally, the lecture concludes with the commit stage, where it describes how the architectural state is updated and recovered in case of exceptions or misspeculations. This lecture is intended for an advanced course on computer architecture, suitable for graduate students or senior undergrads who want to specialize in the area of computer architecture. It is also intended for practitioners in the industry in the area of microprocessor design. The book assumes that the reader is familiar with the main concepts regarding pipelining, out-of-order execution, cache memories, and virtual memory. Table of Contents: Introduction / Caches / The Instruction Fetch Unit / Decode / Allocation / The Issue Stage / Execute / The Commit Stage / References / Author Biographies

Opiniones del libro

Ver más opiniones de clientes
  • 0% (0)
  • 0% (0)
  • 0% (0)
  • 0% (0)
  • 0% (0)

Preguntas frecuentes sobre el libro

Todos los libros de nuestro catálogo son Originales.
El libro está escrito en Inglés.
La encuadernación de esta edición es Tapa Blanda.

Preguntas y respuestas sobre el libro

¿Tienes una pregunta sobre el libro? Inicia sesión para poder agregar tu propia pregunta.

Opiniones sobre Buscalibre

Ver más opiniones de clientes